reg和wire的区别?reg 和wire的用法

2024-04-04 17:28:10 文章来源 :网络 围观 : 评论

  简单来说硬件描述语言有两种用途:

  1、仿真,2、综合。对于wire和reg,也要从这两个角度来考虑。*********************************************************************************从仿真的角度来说,HDL语言面对的是编译器(如Modelsim等),相当于软件思路。这时:wire对应于连续赋值,如assignreg对应于过程赋值,如always,initial*********************************************************************************从综合的角度来说,HDL语言面对的是综合器(如DC等),要从电路的角度来考虑。这时:

  1、wire型的变量综合出来一般是一根导线;

  

reg和wire的区别?reg 和wire的用法

  

reg和wire的区别?reg 和wire的用法

  2、reg变量在always块中有两种情况:

  

reg和wire的区别?reg 和wire的用法

  (1)、always后的敏感表中是(aorborc)形式的,也就是不带时钟边沿的,综合出来还是组合逻辑(2)、always后的敏感表中是(posedgeclk)形式的,也就是带边沿的,综合出来一般是时序逻辑,会包含触发器(Flip-Flop)在设计中,输入信号一般来说你是不知道上一级是寄存器输出还是组合逻辑输出,那么对于本级来说就是一根导线,也就是wire型。而输出信号则由你自己来决定是寄存器输出还是组合逻辑输出,wire型、reg型都可以。但一般的,整个设计的外部输出(即最顶层模块的输出),要求是寄存器输出,较稳定、扇出能力也较好。为什么在verilog中要定义wire?有几种情况变量需要定义成wire。第一。assign语句例如:rega,b;wireand_result;...assignand_result=a&&b;你可以试试把wire定义成reg。综合器会报错。第二。元件例化时候的输出必须用wire例如:wiredout;ramu_ram(....out(dout)...);wire按照国外的教材上面的定义:wire为无逻辑连线。只做连线,wire本身是不带逻辑性的,所以输入什么输出就是什么。所以你尝试着用always语句对wire变量赋值。综合器就会报错。那么你可能会问。assignc=a&&b不是就是对wire的赋值吗?其实并非如此。综合器综合时将a&&b综合成ab经过一个与门。而c只是连接到与门输出的线。正真综合出与门的是&&。而不是c。AbstractVerilog初学者最常见的问题:『什麼时候该用wire?什麼时候又该用reg?』Introduction大体上来说,wire和reg都类似於C/C++的变数,但若此变数要放在begin...end内,该变数就须使用reg,在begin...end之外,则使用wire。另外使用wire时,须搭配assign;reg则不必。input,ouput,inout预设值都是wire。若wire和reg用错地方,compiler都会提醒,所以不必太担心。一个很重要的观念,在Verilog中使用reg,并不表示合成後就是暂存器(register)。若在组合电路中使用reg,合成後仍只是net,唯有在循序电路中使用reg,合成後才会以flip-flop形式表示成register。

  

reg和wire的区别?reg 和wire的用法

相关文章

留言与评论(共有 0 条评论)
   
验证码:
推荐文章